電子產(chǎn)業(yè)一站式賦能平臺

PCB聯(lián)盟網(wǎng)

搜索
查看: 5695|回復(fù): 6
收起左側(cè)

小哥Allegro教程之Allegro中怎樣導(dǎo)出PCB封裝

[復(fù)制鏈接]

15

主題

179

帖子

2685

積分

三級會員

Rank: 3Rank: 3

積分
2685

最佳新人

跳轉(zhuǎn)到指定樓層
樓主
發(fā)表于 2013-10-16 21:47:26 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
本帖最后由 小哥 于 2013-12-5 11:19 編輯
+ [* R0 q2 Q8 \# ?* D
; p0 P$ u+ P: u' w9 @- t6 N$ C
小哥原創(chuàng)-allegro中怎樣導(dǎo)出PCB封裝
       我們在PCB設(shè)計中,可以從現(xiàn)有的PCB板上導(dǎo)出PCB封裝,省去重復(fù)建封裝的時間。
       打開PCBEditor,File-Export-Libraries,如圖1
                                                           
1
$ i* |% d* s/ q/ W& S" a8 Y% C
# _. A) G. D- R' R9 a1 [+ w& u
按照以上步驟單擊后,出來圖2選項框:
                                                           
2
將這些選項全部勾選上,導(dǎo)出來的封裝默認(rèn)在當(dāng)前的PCB文件夾中;
可以通過”Export  to directory”選擇存放目錄,設(shè)置好后,單擊“Export”,出現(xiàn)圖3界面:
                                                           
3

3 I1 ^* t# }$ Y+ C% `0 G7 I/ D2 n1 B7 B
                                                           
4
這樣就順利將PCB板子的封裝導(dǎo)出來了,方便其它設(shè)計的復(fù)用。
4 b% r0 K2 ?6 I6 S
大家有Allegro方面的問題,可以發(fā)郵件到郵箱932026701@qq.com,相互交流。                                       
                                                                                    
此教程 pdf文檔百度網(wǎng)盤下載鏈接 :小哥Allegro教程之Allegro中怎樣導(dǎo)出PCB封裝 pdf% {: B$ J$ v8 L# k& H
6 }# H3 [% I% P6 w2 J2 n$ l
                                                                                                   Editor By:小哥
- Y3 }. ^1 h7 g# ~' B- H5 e  V) Z, H

本帖子中包含更多資源

您需要 登錄 才可以下載或查看,沒有賬號?立即注冊

x
評分記錄積分 收起 理由
admin + 5 + 20 + 5 贊一個!
總評分: 積分 + 5  + 20  + 5 
書籍:
《Cadence Allegro16.6實戰(zhàn)必備教程》
PCB3.COM網(wǎng)站創(chuàng)辦人

0

主題

6

帖子

16

積分

一級會員

Rank: 1

積分
16
沙發(fā)
發(fā)表于 2013-10-23 19:14:00 | 只看該作者
如果要導(dǎo)出某個指定元件的PCB封裝那應(yīng)該如何做?
板凳
發(fā)表于 2013-10-23 22:14:43 | 只看該作者
九X 發(fā)表于 2013-10-23 19:14
$ y) r8 K0 H/ F# x: G! I如果要導(dǎo)出某個指定元件的PCB封裝那應(yīng)該如何做?

" u0 x) ~6 r& R% a$ m+ eallegro軟件是不支持單個元件封裝的導(dǎo)出的,可以直接從你導(dǎo)出的庫文件里面調(diào)用,后綴是dra的文件,當(dāng)然,這個可以用skill插件可以實現(xiàn)這個功能的!
該會員沒有填寫今日想說內(nèi)容.

15

主題

179

帖子

2685

積分

三級會員

Rank: 3Rank: 3

積分
2685

最佳新人

地板
發(fā)表于 2013-10-23 22:22:18 | 只看該作者
cesc 發(fā)表于 2013-10-23 22:14 7 G6 C  b' C6 q/ d- H% e9 {
allegro軟件是不支持單個元件封裝的導(dǎo)出的,可以直接從你導(dǎo)出的庫文件里面調(diào)用,后綴是dra的文件,當(dāng)然, ...
1 L* H$ K( p8 H0 r" v* q% k
在不借助外部skill的情況下,你可以這樣操作:全部導(dǎo)出,然后單獨打開需要的dra文件,最好是16.5以上版本,再單獨導(dǎo)出到一個文件夾,即可。
書籍:
《Cadence Allegro16.6實戰(zhàn)必備教程》
PCB3.COM網(wǎng)站創(chuàng)辦人
5#
發(fā)表于 2013-10-24 09:05:12 | 只看該作者
小哥 發(fā)表于 2013-10-23 22:22
- N, t3 g2 Z/ W; i- K. H在不借助外部skill的情況下,你可以這樣操作:全部導(dǎo)出,然后單獨打開需要的dra文件,最好是16.5以上版本 ...
/ F, m! o& V) X+ N3 H# N' Y
嗯,是的!
該會員沒有填寫今日想說內(nèi)容.

1

主題

157

帖子

794

積分

凡億讀者

積分
794
6#
發(fā)表于 2016-11-29 09:16:54 | 只看該作者
mark0 Q& R7 O$ J) a
:)
回復(fù) 支持 反對

使用道具 舉報

0

主題

776

帖子

2643

積分

三級會員

Rank: 3Rank: 3

積分
2643
7#
發(fā)表于 2022-4-18 09:01:51 | 只看該作者
66666666666666666666666666666666666666666* k+ H+ \7 Q2 q8 T. E# j
回復(fù) 支持 反對

使用道具 舉報

發(fā)表回復(fù)

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規(guī)則


聯(lián)系客服 關(guān)注微信 下載APP 返回頂部 返回列表